Preprint Article Version 1 Preserved in Portico This version is not peer-reviewed

Electromigration in Nano-Interconnects: Determining Reliability Margins in Redundant Mesh Networks Using a Scalable Physical-Statistical Hybrid Paradigm

Version 1 : Received: 26 June 2024 / Approved: 27 June 2024 / Online: 27 June 2024 (10:46:55 CEST)

How to cite: Zahedmanesh, H. Electromigration in Nano-Interconnects: Determining Reliability Margins in Redundant Mesh Networks Using a Scalable Physical-Statistical Hybrid Paradigm. Preprints 2024, 2024061918. https://doi.org/10.20944/preprints202406.1918.v1 Zahedmanesh, H. Electromigration in Nano-Interconnects: Determining Reliability Margins in Redundant Mesh Networks Using a Scalable Physical-Statistical Hybrid Paradigm. Preprints 2024, 2024061918. https://doi.org/10.20944/preprints202406.1918.v1

Abstract

This paper presents a hybrid modelling approach that combines physics-based electromigration modelling (PEM) and statistical methods to evaluate the electromigration (EM) limits of nano-interconnects in mesh networks. The approach, which is also compatible with standard Place and Route (P&R) tools and practices, takes into account the positive impact of network redundancy on EM current limits. The study shows that conventional methods underestimate the EM current limits of a power delivery network (PDN) unit-cell by 80% due to their lack of consideration for redundancy. Additionally, the time-to-failure (TTF) distributions of a PDN unit-cell adhered to a lognormal distribution, where the lognormal sigma, σlogn, exhibits a 55% reduction compared to that of the single constituent interconnects. The study also found the negative voltage (i.e. ground or Vss) grid to be more susceptible to EM than the positive voltage, i.e. Vdd grid. In the examined grid unit-cell design, both the number of interconnect sites prone to voiding and also the magnitude of the peak tensile stress within the nano-interconnects were found to be 2-folds as high in the Vss case compared to Vdd. lognormal sigma of TFF for the grid unit-cells, σ_(logn-tile), show a marked reduction compared to the lognormal sigma of the constituent single interconnects, σ_logn, with a 50% and 66% decrease compared to single interconnects, for downstream (Vss) and upstream (Vdd), respectively. In addition, σ_(logn-tile) was 3-folds higher for downstream (Vss) compared to upstream (Vdd), whilst, in contrast this difference was only 2-folds at single interconnect level. 4.13-folds higher TTF50% was observed at grid unit-cell level for upstream compared to downstream operation which was also more pronounced than in the single interconnect level where the difference was only 2-folds. This research provides valuable insights into EM aging of nano-interconnects in mesh networks and could pragmatically enhance the accuracy of EM compliance evaluation methods.

Keywords

Nano-Interconnects, Reliability, Electromigration (EM), Redundancy, Mesh Networks, Power Delivery Network, Physics-Based Modelling, Statistical Electromigration Budgeting (SEB), Tile-Based SEB.

Subject

Engineering, Mechanical Engineering

Comments (0)

We encourage comments and feedback from a broad range of readers. See criteria for comments and our Diversity statement.

Leave a public comment
Send a private comment to the author(s)
* All users must log in before leaving a comment
Views 0
Downloads 0
Comments 0
Metrics 0


×
Alerts
Notify me about updates to this article or when a peer-reviewed version is published.
We use cookies on our website to ensure you get the best experience.
Read more about our cookies here.